Thursday, February 2, 2017

Moving Average Filter Verilog Code

Verilog-Addierer (Verilog-Optimierung) Ich hatte angenommen, dass Verilog Konstanten zusammenbrechen würde, aber ich frage mich, ob das immer truedse ist, wenn ich so etwas wie reg 7: 0 habe sig1, sig2, sig3 immer sig3 1 2 3 sig1 sig2 4 Es muss mindestens 2 geben Addierer. Ist Verilog erforderlich, um 3 Addierer zu erzeugen, kann der Code problemlos zu sig3 6 sig1 sig2 4 zusammengefasst werden. Verilog wertet von links nach rechts, also wird dies zu sig3 ((6 sig1) sig2) 4 Dies erfordert 3 Addierer. Ist es legal für Verilog, den ursprünglichen Code zu kompilieren: sig3 10 sig1 sig2 Gedanken Dank John Providenza Die meisten Synthesewerkzeuge werden die Vorteile von assoziativen und kommutativen Eigenschaften von Ausdrücken nutzen, um eine optimale Implementierung zu erzielen. Wenn sie don39t, Don39t verwenden sie sehr lange. Andy Auf Aug 29, 7: 03A0am, Andy ltjonesa. Comcast. netgt schrieb: gt Die meisten Synthese-Tools werden die Vorteile der assoziativen und gt kommutativen Eigenschaften von Ausdrücken nutzen, um eine optimale gt-Implementierung zu erzeugen. Wenn sie don39t, Don39t verwenden sie sehr lange. Gt gt Andy Für Grins, schuf ich einen sehr einfachen Testfall und synthetisierte es mit dem Xilinx XST-Synthesizer. Hier ist der Code: Modultest (Eingang clk, Eingang 7: 0 a, b, Ausgang reg 7: 0 z) reg 7: 0 a1, b1, z1 immer (posedge clk) begin a1 lt3D a b1 lt3D b. Verilog-System Verilog Integration Ich mache ein System Verilog Constraint zufällige Prüfstand. Ich habe eine laufende Testumgebung in verilog. Jetzt, wenn ich versuche, dieses System laufen Verilog Prüfstand in meiner Umgebung Ich sehe eine ganze Reihe von Syntex-Fehler von verilog, die andere weise nicht auftreten. Ich habe die - Verschlüsselung Schalter für VCS auch. Kann jemand bitte vorschlagen, wie man die verilog und System verilog Zusammenstellung zu mischen, um nicht auf solche Probleme Hallo, Meine Vermutung ist, dass Ihr Verilog-Code verwendet SV reservierte Wörter wie quotdopriorityquot etc. Zeigen Sie uns einige Fehler zu sagen, mehr solide. Wenn ja, siehe: synopsyslinksvamar05.htmlBAC-vaampLinkVAHomeMar05Issue Grundsätzlich müssen Sie Schalter wie verwenden: systemverilogext. sv etc. HTH Ajeetha, CVC noveldv gomsi schrieb: gt Ich mache ein System verilog Constraint zufällige Prüfstand. Ich habe eine oben gt und laufende Testumgebung in verilog. Jetzt, wenn ich versuche, um diese gt-System verilog Prüfstand in meine Umgebung Ich sehe eine ganze Menge von gt syntex Fehler von verilog, die andere weise nicht auftreten. Ich habe den gt-sverilog Schalter für VCS auch benutzt. Kann jemand bitte vorschlagen, wie zu mischen gt die verilog und System verilog Zusammenstellung, um nicht auf solche gt Probleme zu begegnen gomsi schrieb: gt Ich mache ein System verilog Constraint zufällige Prüfstand. Ich habe eine oben gt und laufende Testumgebung in verilog. Nun, wenn ich versuche, diese gt-System verilog Prüfstand in meiner Umgebung Ich sehe ein wh. Verilog plse helfen mir mit diesem. Ich habe einen Matlab-Code Ich wollte es in vhdl oder verilog konvertieren. Jeder hat eine Idee dazu. Pls helfen mir mit diesem. Kiran Verilog sir Ich bin ein Projekt auf WCDMA für meine B tech. i bin ein B-Tech-Abschluss Jahr std Student. So mein Zweifel ist, habe ich einen Convolusion-Encoder mit drei-Bit-Register. Was Art von Decoder kann ich für die entsprechende encoder. plz verwenden, geben Sie mir eine Lösung und ich muss die Faltung Encoder zu FPGA. i nicht wissen, wie plz mir geben Eine Lösung smubarak. e Am 23. Februar, 16.38 Uhr, lovetoesm ltloveto. Gmailgt schrieb: gt sir. Gt Ich mache ein Projekt auf WCDMA für meine B tech. i bin ein B-Tech-Abschluss gt Jahr std Student. Gt so mein zweifel ist, habe ich eine convolusion encoder mit drei bit gt register. what typ decoder kann ich für die entsprechende gt encoder. plz verwenden gib mir eine lösung gt und ich muss den faltungscodierer auf FPGA. i herunterladen, weiß nicht Gt, wie plz mir eine Lösung gt hi mubarak, können Sie einen viterbi Decoder für Ihre Faltung encoder. you können in verilog implementieren. Können Sie die Faltung Encoder zu FPGA, für die können Sie einige Benutzer-IO aus FPGA für die curresponding Port in Ihrem Programm. like zurücksetzen, laden, Verschiebung wie this. if Sie wissen nicht, die Tool-Flow dann informieren mich that. i wird Helfen Sie für jedes tool. then downloaden das Programm zu FPGA. if, das Sie irgendwelche Zweifel ich irgendeine andere Sache in vlsi plz informieren uns mentorssignatrix. in signatrix. in. Auto Vervollständigung für Verilog und System Verilog Hallo, Ich möchte eine automatische Vervollständigung für eine Verilog und SV IDE, die die folgenden Funktionen enthält schreiben: 1. Word-Vervollständigung. 2. Mitgliedsabschluss. 3. Parametervervollständigung Ich suche das Material, das ich finden kann, das mir helfen kann, Ideen zu bekommen, wie man eine solche Funktion implementiert. Haben Sie irgendwelche Suggestionslinks können Sie mich für Open-Source-Artikel zu diesem Thema Vielen Dank, Orly Hallo, Ich habe eine emacs-Modus-Datei für SV, dass diese Dinge tun würde ganz gut. Größtes Problem I39ve ist die Einbuchtung. Ehrlich I39m nicht ein LISP-Experte, eher gehackt einige alte VERAJEDAPSL-Modus für SV arbeiten. Ich kann es an Sie senden (oder hochladen, um noveldv, aber das würde einige Tage dauern), wenn senden Sie mir eine E-Mail an Google Mail ajeetha Disclaimer: Es ist nicht eine gut geschriebene Modus-Datei, mindestens ein Benutzer didn39t wie es so viel, so hoch Erwartungen bitte. Ich mag es einfach für das Quotwort completionquot und sonst nichts. Ich habe nicht genug Zeit in der Aktualisierung beibehalten es als I39m beschäftigt mit anderen Sachen. BTW - welche IDE sind Sie Zielsetzung Grüße Ajeetha noveldv. Wie man Verilog Netzliste ohne eine Verilog Lizenz machen Ich arbeite an einem gemischten Signalchip und möchte eine Verilog Netzliste von einigen meiner Blöcke für den digitalen Kerl auf dem Projekt schaffen. Ich bin mit DFII und ich habe keine NC-Verilog-Lizenz, brauche ich auf nur, um eine Netzliste Ich habe versucht, Tools-gtSimulation-gtNCVerilog aus dem Schaltplan und Datei-gtexport von icfb ohne Glück. Wenn ich can39t machen eine Netzliste direkt, hat jemand einen spectreToVerilog ((oder CDLToVerilog) Konverter können sie mich auf eine schnelle Suche dieser Website nicht aufdrehen. Dank im Voraus DAvid Reynolds Am 21 Jun 2006 05:53:59 -0700, quotDReynoldsquot ltspurwinktechgmailgt schrieb: gt Ich arbeite an einem gemischten Signal-Chip und ich möchte eine gtVerilog Netzliste von einigen meiner Blöcke für den digitalen Kerl auf dem gtproject zu erstellen. Ich bin mit DFII und ich habe keine NC Verilog Lizenz , Habe ich gtneed auf nur, um eine Netzliste Ich habe versucht, Tools-gtSimulation-gtNCVerilog gtfrom der Schaltplan und Datei-gtexport von icfb ohne Glück. gt gtIf ich can39t eine Netzliste direkt, hat jemand ein spectreToVerilog gt ((oder CDLToVerilog) Konverter können sie mich auf eine schnelle Suche von gtthis Website nicht aufdrehen alles gt gtthanks im Voraus gt gtDAvid Reynolds Sie benötigen keine Verilog-Lizenz oder NC Verilog Lizenz Netzliste Sie benötigen jedoch eine quot21400quot Lizenz (genannt Virtuoso R) Schematischer Editor Verilog (R) Interfacequot). Mit anderen Worten, Sie don39t brauchen einen Simulator lic. Verilog-a Gibt es irgendwelche verilog-eine spezifische newsgroups Danke Keith. Verilog-A Hi what39s verilog-A ist es etwas im Zusammenhang mit analogen gibt es irgendwelche Werkzeuge aus Kadenz Unterstützung, die Dank Verilog-A ist eine analoge Verhaltensmodellierung Sprache. Siehe accellera und verilog-ams Sie können auch das neue Buch quotieren, das von Ken Kundert und Olaf Zinke (Kluwer Academic Publishers) zum Verlinog-AMSquot-Leitfaden führt. Es wird in Cadence in Gespenst (der erste Simulator unterstützt Verilog-A), und auch in der AMS-Designer-Simulator unterstützt. Grüße, Andrew. Auf Fri, 6 Aug 2004 10:33:51 -0700, quotCarsonquot ltcarsoni. Design von Taschenrechner in verilog Hallo alle, ich muss einen Taschenrechner in verilog für 4 Operationen entwerfen:,,,. Es ist 8-Bit-Rechner. Ich habe alle vier Bedienmodule entworfen und sie funktionieren gut. Der Rechner arbeitet auch mit zwei Operanden. Nun, was ich bin nicht in der Lage, herauszufinden, ist, wenn ich auf dem Tastenfeld drücken (dies wird ein FPGA mit Tastatur verbunden sein) sagen 12. 3. Ich meine, ich erwarte eine Antwort 3 das erste Mal sage ich und dann die gleiche Sache Muss als einer der Operanden in der nächsten Berechnung betrachtet werden, so dass die endgültige Antwort 6 ist. Kann jemand mir eine Idee geben, wie dies in verilog herauszufinden. Ich bin ziemlich verwirrt. Grüße. Sunita sunitajaingmail (Sunita Jain) schrieb in Nachrichtennachrichten: lt9bfc40d7.0411070448.603c7047posting. googlegt. Gt Hallo alle, gt Ich brauche, um einen Taschenrechner in verilog für 4 Operationen:, -,,. Gt Es ist 8-Bit-Rechner. Gt Ich habe alle vier Bedienmodule entworfen und sie funktionieren gt fein. Der Rechner arbeitet auch mit zwei Operanden. Nun, was ich bin gt nicht in der Lage, herauszufinden, ist, wenn ich auf dem Tastenfeld drücken (dies wird gt ein FPGA mit Tastatur verbunden sein) sagen 12. 3. gt Ich meine, ich erwarte eine Antwort 3 das erste Mal sage ich und dann Die gleiche gt Sache muss als einer der Operanden in der nächsten gt Berechnung betrachtet werden, so dass die endgültige Antwort 6 ist. Kann jemand mir eine gt Idee geben, wie man dies in verilog herauszufinden. Ich bin ziemlich verwirrt. Bestimmung von fileType (Verilog, VHDL oder System Verilog) Ich habe eine Liste von HDL-Dateien. Wie finde ich heraus, die Art der einzelnen Dateien (Verilog, System Verilog oder VHDL). Wäre toll wenn ein einfaches Utility in CC schon da ist. Ich brauche nicht zu wissen, die Aromen von verilog wie 95, 2000 etc, aber wouldn39t die zusätzlichen Informationen, wenn dort. Am 2007-12-11, verylog ltsachin. goyal. newgmailgt wrote: gt Ich habe eine Liste von HDL-Dateien. Gt Wie finde ich heraus, die Art der einzelnen Dateien (Verilog, System Verilog oder gt VHDL). Gt Wäre toll wenn ein einfaches Utility in CC schon da ist. Gt Ich brauche nicht zu wissen, die Aromen von verilog wie 95, 2000 etc, aber gt wouldn39t Denken Sie die zusätzlichen Informationen, wenn dort. Persönlich würde ich nur auf die Datei-Erweiterung, wenn jemand doesn39t Namen Dateien ordnungsgemäß er oder sie sollte erwarten, dass Probleme erwarten :) Eine andere Möglichkeit: Nur versuchen, es mit Ihrem aktuellen RTL-Simulator zu kompilieren und sehen, ob es sauber kompiliert mit Verilog, SystemVerilog oder VHDL-Modus. Aber wenn aus irgendeinem Grund ist dies unpraktisch wird es ziemlich schwer zu unterscheiden zwischen SystemVerilog und Verilog Dateien, da eine Datei sowohl legal Verilog und SystemVerilog zur gleichen Zeit sein kann. Tatsächlich ist eine leere Akte eine gesetzliche Verilog und SystemVerilog Akte, wenn ich die BNF richtig lese. Die Distanzierung zwischen einer VHDL-Datei und einer Nicht-VHDL-Datei ist wahrscheinlich einfacher, wenn das genug für Sie ist. Ich haven39t blickte in sie zu viel, aber ich glaube, es ist nur eine Frage der Strippen alle VHDL-Art Kommentare und suchen Sie nach der Tanne. Problem mit verilog-in bei der Verwendung von arithmetischen Verschiebung in verilog vode. Hallo an alle, wenn ich verilog-in in ic5141 verwende, erscheint ein sytanx-Fehler quotgtgtgt errorquot. Mein Verilog-Code haben arithmetische Verschiebung gtgtgt adn ltltlt, die das neue Merkmal von verilog-2001 ist. Und ich habe verilog-in Betrieb im Verhalten RTL, meine Frage ist: wie man diesen Fehler beheben und noch: tut ic5141 verilog-in-Funktion unterstützen verilog2001 verison39s arithemetic shift operationor quotgtgtgtquot und quotltltltot. Danke. Auf 8 13 1 09. ponderboy ltcqu. Yahoo. cngt schrieb: könnte jemand mir bitte helfen. Gt he System verilog ques. Gibt es eine separate Newsgroup für System verilog Rand-Bit 7: 0 Byte0 Rand-Bit 7: 0 Byte1 Die folgende Einschränkung funktioniert: Einschränkung xyz (byte0 gt39h61 ampamp byte0 lt39h7a) - gt Byte1 innen Aber ich möchte es zu etwas in diesem Sinne zu ändern: Constraint xyz else byte1 inside Ist es möglich, einen parametrisierten verilog-Modul Namen in verilog oder systemverilog Hallo, Ich versuche schaffen Verilog-Modul, das parametrisierte Instanz n ame unterstützen kann. Ich verstehe, dass die Signalbreite und andere solche Dinge parame terisiert werden können. Aber können wir auch den Modulinstanznamen parametrieren Im folgenden Code bin ich gespannt, ob es irgendeine Möglichkeit gibt, dass SomeDynamicInstanceName auch parametrisiert werden kann Ich kann versuchen, Systemverilog zu verwenden, wenn das hier p helfen kann 20 Mein Ziel ist es, den verilog gmon wiederverwenden zu können Modul (generisches Verilog-Modul) für verschiedene Signalarten. Aber aus einem Grund, muss ich t die SomeDynamicInstanceName ändern. Ich habe die Kontrolle o. Verilog Simulation von platzierten Netzliste mit verilog XL Hallo, Ich möchte die Verilog Netzliste von platzierten und geroutet Design von Cadence SoC Encounter zu simulieren. Ich habe die Sdf-Datei von SoC Encounter. Ich verwende Verilog XL. Ich habe den Befehl sdfannotate in meiner Testbench wie folgt zunächst sdfannotate (quot. design. sdfquot, instancename.) Ende Ist dies der richtige Weg, es zu tun Vielen Dank im Voraus. Grüße, Ajay. Für Hilfe-verilog Design eines Taschenrechners Hallo, ich bin ein neuer Student mit Schwerpunkt LSI. Jetzt muss ich einen Taschenrechner mit verilog entwerfen. Es ist die Hausaufgabe eines Kurses. Ich suche nach einigen Referenzcode darüber, wie ich sehr wenig Erfahrung in Verilog Programm haben. Ich würde sehr mit Ihrer Hilfe geschätzt. BTW, die Funktionen, die ich zu realisieren, gehören Hinzufügen, Subtrahieren, Multiplizieren, Division, N. C, Shift, MC, MS, MR, M, M-. Vielen Dank. Davidbarby ltdanyangqusuou. waseda. jpgt schrieb in nachrichten nachrichten: 6eb3db6ca7f5485d9d696440776471c6localhost. talkaboutprogramming. Gt Hallo, gt gt Ich bin ein neuer Student During in LSI. Jetzt muss ich einen Taschenrechner gt mit verilog. Es ist die Hausaufgabe eines Kurses. Gt Ich bin für einige Referenz-Code über es suchen, wie ich habe sehr wenig Erfahrung gt Erfahrung in Verilog. Ich würde sehr geschätzt mit Ihrem gt Hilfe. Gt BTW, die Funktionen, die ich zu realisieren gehören Add, Subtrahieren, Multiplizieren, gt Division, N. C, Shift, MC, MS, MR, M, M-. Gt gt Vielen Dank. Gt, warum don39t Sie uns erklären, wie Sie denken, dass es angegangen werden sollte I39m, das nicht unbeholfen wird, tatsächlich ich wouldn39t ganz weiß, wo man anfängt, ohne es etwas zu denken - möglicherweise, wenn Sie gerade das taten und dann veröffentlichten, was Sie denken, es kann anstoßen Eine interessante Diskussion :) vielen Dank für Ihre Antwort, aber ich glaube, ich don39t fangen Ihre Bedeutung. Ehrlich gesagt, ich habe keine Erfahrung über es und auf der Suche nach einigen hilft. Könnten Sie mir bitte etwas empfehlen, was ich brauche. Konvertieren von Verilog Test Env. System Verilog amp Open Vera Hallo alle, Ich arbeite an einer Aufgabe der Umwandlung von alten BFMs und Testumgebung erstellt in Verilog zu System verilog und Open Vera. Dazu gehören die Umwandlung von Testbänken und BFMs Generierung von Synopsys und benutzerdefinierten Klassen und die Herstellung eines High-Level-Wrappers des Systems verilog für die Verwendung mit Vera. Bitte helfen Sie mir mit Leitfaden, Dokumente und Tipps im Zusammenhang mit dieser Aufgabe Vielen Dank im Voraus Kedar Hallo, Kedar - Sie können oder auch nicht bereits wissen, aber für andere Leser Überwachung dieser Thread lassen Sie mich sagen, was bereits allgemein bekannt ist: SystemVerilog ist voll Abwärtskompatibel mit Verilog-2001 und es macht normalerweise keinen Sinn, Zeit zu verbringen, alte Verilog-2001 BFM-Testbenches in den neuen klassenbasierten VMM-Typ der Testbench von Synopsys umzuwandeln. Für neue Prüfstände ist ein solcher Ansatz sinnvoll. Sie müssen zuerst die SystemVerilog-Syntax verstehen und dann können Sie diese Vorgehensweise durch Lesen von Janick Bergeron, et al39s Buch, Verification Methodology Manual für SystemVerilog, veröffentlicht von Springer (neues Buch) verfolgen. Sie könnten einige SystemVerilog Berater, um Ihnen helfen, die Arbeit (ich don39 tun dies selbst) zu mieten. Vielleicht möchten Sie auch zu prüfen, SystemVerilog für Verification Training, um mit diesem beginnen (ich mache dies :-) Grüße - Cliff Cummings Verilog amp SystemVerilog Guru Sunburst-Design gt Sie könnten einige SystemVerilog Berater, um Ihnen helfen, die Arbeit gt (I Don39t tun dies m. Wo kann ich kaufen oder kaufen BSIM3v3-Modell-Datei in Verilog-a oder Verilog-ams I39m versuchen, mit Gespenst Verilog-a, mein eigenes Abbau-Modell zu konstruieren bigbag schrieb: gt I39m versuchen, mit Gespenst Verilog-a zu Wo ich kann oder kaufen BSIM3v3-Modell-Datei in Verilog-a oder Verilog-ams 2 I39m versuchen, meine eigenen Abbau-Modell in Kadenz zu implementieren Gespenst mit Verilog Wer kann mir helfen Vielen Dank, Sie können ein MOS Level 1 Veriloga-Modell in Ihrem Cadence Installation Tools finden. lnx86dfIIsamplesartistahdlLibmoslevel1verilogaverilog. va --- Erik zcuimail. ucf. edu (bigbag) schrieb in nachricht news: ltf8f9930c.0401151449.27 F4e7c4posting. googlegt. Gt I39m versuchen, mein eigenes Abbau-Modell in Cadence-Gespenst gt mit Verilog implementieren. Wer kann mir helfen Vielen Dank. Siehe auch: tools. lnx86dfIIsamplesartistahdlLibmostftverilogave. I-Q FIR-Filter mit verilogverilog-a gibt keinen Ausgang auf einem Kanal Ich baute einen I-Q-Demodulator mit Verilog-A-Blöcke. An seinem Ausgang gehen sowohl der I - als auch der Q-Pfad durch ein identisches FIR-Filter. Der Ausgang des I-Pfades sieht so aus, was ich erwarte, aber der Q-Pfad ist grundsätzlich Null - er hat 250 dB untere Verstärkung als der I-Pfad. I39ve versucht eine Menge von Dingen: Ich neu erstellt das Filter-Symbol und verilog Code von Grund auf neu in der Bibliothek-Manager Ich löschte alle kompilierten AHDL-Code aus den Filtern und zwang ihn zu re-kompilieren Ich habe eine zweite Version des Filters von Grund auf neu. Ich bekomme das gleiche Ergebnis, egal was passiert. Wenn ich den I-Kanal bis zum. Tuns 39slow39 Berechnungen in verilog Wenn Sie eine kontinuierliche oder nicht blockierende Zuweisung in Verilog verwenden und der rechte Ausdruck ist etwas, das in einem realen Gerät dauert, um gültig zu werden, nachdem die Eingaben gültig geworden sind, wie stellen Sie sicher, dass die Ausgabe IS gültig ist, wenn Sie Möchten Sie es verwenden Beispiel: input 1000: 0 megaparity assign foo megaparity always (posedge clk) megaparity gültig auf diesem clk savedparity lt foo wann ist diese gültig Was ist, wenn die kaskadierte xor-Kette so langsam it39s mehr als ein clk Zeitraum Mehr als 20 Wenn Sie davon ausgehen, es 39s langsamer als es ist (warten einige feste Anzahl von Uhren) tun. Konfiguration für einen gemischten Modus VHDL-verilog lang Hallo alle mein Problem ist I39d wie eine VHDL-Datei instanziiert innerhalb verilog über VHDL-Konfiguration zu sommerisieren: Ich habe eine Hierarchie: quottop: vhdl - verilog - Verlog - vhdl: bottomquot Wie schreibt man eine Vhdl Konfiguration wählen Sie die Datei für die untere Instanziierung Rakesh YC versuchen. Durchschnittlicher Durchschnitt der Felder. Welches Zeichen zwischen den Feldern platzieren Zum Beispiel: average (age1ampage2) Der Sign Amp ist nicht korrekt. Welches Zeichen ist. Laura. Laura Eekelsquot ltlaura. eekelsxx. yygt schrieb in nachricht nachrichten: 3fce13ec0214e4fe514cnews. xs4all. nl. Gt Wie bekomme ich den Durchschnitt von mehr Feldern. Gt Das Zeichen für die Platzierung zwischen den Feldern gt Beispiel: average (age1ampage2) gt Der Sign-Amp ist nicht korrekt. Welches Zeichen ist. Gt gt Laura. Avg (Field1 Field2 Field2) - Bradley Software Entwickler hrsystems. au Eine christliche Antwort pastornet. net. auresponse Vielen Dank für die Antwort, aber ich habe versucht, dass bereits. Und es doesn39t Arbeit. Durchschn. (Field1field2) field17field28 ergibt das Ergebnis 78 und nicht den Durchschnitt. Haben Sie irgendwelche anderen Vorschläge Laura quotBradleyquot ltbradleyREMOVETHIScomcen. augt schreef in bericht news: jtszb.38208aT.14986news-server. bigpond. net. au. Gt quotLaura Eekelsquot ltlaura. eekelsxx. yygt schrieb in Nachricht gt news: 3fce13ec0214e4fe514cnews. xs4all. nl. Gt gt Wie bekomme ich den Durchschnitt von mehr Feldern? Gt gt Das Vorzeichen zwischen den Feldern gt gt Beispiel: average (age1ampage2) gt gt Der Sign-Amp ist nicht korrekt. Welches Zeichen ist. Gt gt gt Laura. Gt gt avg (Feld1 Feld2 Feld2) gt gt - gt Bradley gt Software Entwickler hrs. Verilog Stil Hallo alle, Kann jemand mir einige adive über folgenden Code. (A B) (1) logisch UND vergeben D en amp (A B) (2) bitweise UND was besser ist. (1 oder 2). Vielen Dank für jede Anregung Essen schrieb: gt Hi All, gt gt Kann jemand mir einige adive über folgenden Code. (A B) (1) logische UND gt Zuordnung D en amp (A B) (2) bitweise UND gt gt, welche besser ist. (1 oder 2). Gt gt Danke für jeden Vorschlag Hallo In diesem Fall sind beide richtig. Weil A, B und en als boolean betrachtet werden. Einzelbit. Wenn Sie mit mehreren Bit-Operanden gehen, dann finden Sie einen Unterschied. Danke und Gruß an karthikeyan TooMuch Semiconductor Solutions, Bangalore. Hallo Ich hatte ein Problem mit Strings in Verilog. Ich habe eine JTAG-Zustandsmaschine und eine Testbank, die es ausführt. Ich bewege mich durch verschiedene JTAG-Zustände, auf die als 4-Bit-Binär als TlR 439b0000 RTI 439b0001 verwiesen wird. In meiner rtl hatte ich sowas wie reg4: 0 presstate definiere TLR 439b0000 definiere RTI 439b0001. Reg4: 0 presstate reg4: 0 nextstate immer (posedge tclk). Presstate lt nextstate Wenn ich es simuliere und die Wellen auf dem Wellenformfenster sehe, wird es wirklich schwierig, die Zustände durch Betrachten von Zahlen zu decodieren, also dachte ich, ob ich Zeichen verwenden kann, um verschiedene JTAG-Zustände darzustellen. Ich hatte so etwas, reg 38: 0 presstate Aber ich fand ascii Werte der verschiedenen Zustände in der Wellenform dargestellt. Kennen Sie den Grund. Also, wie kann ich Zeichenfolgen anstelle von Zahlen Ich weiß, ich kann Display verwenden, aber ich möchte, dass meine Zeichenfolgen in meine Wellenformen erscheinen. Vielen Dank Rik rik schrieb: gt gt Aber ich fand ascii Werte der verschiedenen Zustände in der gt-Wellenform dargestellt. Kennen Sie den Grund. Das ist, wie Strings in Verilog (und Programmiersprachen im Allgemeinen) dargestellt werden. Gt Ich weiß, ich kann Display, aber ich möchte meine Zeichenfolgen in meinem gt Wellenformen erscheinen. Dies ist kein Problem mit Verilog. Dies ist ein Problem mit Ihrem Wellenform-Viewer. Wenn es die Möglichkeit hat, die. verilog-Addierer anzuzeigen (Verilog-Optimierung), hatte ich angenommen, dass Verilog Konstanten zusammenbrechen würde, aber ich frage mich, ob das immer truedallowed ist Wenn ich so etwas wie reg 7: 0 habe sig1, sig2, sig3 immer sig3 1 2 3 Sig1 sig2 4 Es müssen mindestens 2 Addierer vorhanden sein. Ist Verilog erforderlich, um 3 Addierer zu erzeugen, kann der Code problemlos zu sig3 6 sig1 sig2 4 zusammengefasst werden. Verilog wertet von links nach rechts, also wird dies zu sig3 ((6 sig1) sig2) 4 Dies erfordert 3 Addierer. Ist es legal für Verilog, den ursprünglichen Code zu kompilieren: sig3 10 sig1 sig2 Gedanken Dank John Providenza Die meisten Synthesewerkzeuge werden die Vorteile von assoziativen und kommutativen Eigenschaften von Ausdrücken nutzen, um eine optimale Implementierung zu erzielen. Wenn sie don39t, Don39t verwenden sie sehr lange. Andy Auf Aug 29, 7: 03A0am, Andy ltjonesa. Comcast. netgt schrieb: gt Die meisten Synthese-Tools werden die Vorteile der assoziativen und gt kommutativen Eigenschaften von Ausdrücken nutzen, um eine optimale gt-Implementierung zu erzeugen. Wenn sie don39t, Don39t verwenden sie sehr lange. Gt gt Andy Für Grins, schuf ich einen sehr einfachen Testfall und synthetisierte es mit dem Xilinx XST-Synthesizer. Hier ist der Code: Modultest (Eingang clk, Eingang 7: 0 a, b, Ausgang reg 7: 0 z) reg 7: 0 a1, b1, z1 immer (posedge clk) begin a1 lt3D a b1 lt3D b. Verilog-System Verilog Integration Ich mache ein System Verilog Constraint zufällige Prüfstand. Ich habe eine laufende Testumgebung in verilog. Jetzt, wenn ich versuche, dieses System laufen Verilog Prüfstand in meiner Umgebung Ich sehe eine ganze Reihe von Syntex-Fehler von verilog, die andere weise nicht auftreten. Ich habe die - Verschlüsselung Schalter für VCS auch. Kann jemand bitte vorschlagen, wie man die verilog und System verilog Zusammenstellung zu mischen, um nicht auf solche Probleme Hallo, Meine Vermutung ist, dass Ihr Verilog-Code verwendet SV reservierte Wörter wie quotdopriorityquot etc. Zeigen Sie uns einige Fehler, um mehr sagen. Wenn ja, siehe: synopsyslinksvamar05.htmlBAC-vaampLinkVAHomeMar05Issue Grundsätzlich müssen Sie Schalter wie verwenden: systemverilogext. sv etc. HTH Ajeetha, CVC noveldv gomsi schrieb: gt Ich mache ein System verilog Constraint zufällige Prüfstand. Ich habe eine oben gt und laufende Testumgebung in verilog. Jetzt, wenn ich versuche, um diese gt-System verilog Prüfstand in meine Umgebung Ich sehe eine ganze Menge von gt syntex Fehler von verilog, die andere weise nicht auftreten. Ich habe den gt-sverilog Schalter für VCS auch benutzt. Kann jemand bitte vorschlagen, wie zu mischen gt die verilog und System verilog Zusammenstellung, um nicht auf solche gt Probleme zu begegnen gomsi schrieb: gt Ich mache ein System verilog Constraint zufällige Prüfstand. Ich habe eine oben gt und laufende Testumgebung in verilog. Nun, wenn ich versuche, diese gt-System verilog Prüfstand in meiner Umgebung Ich sehe ein wh. Verilog plse helfen mir mit diesem. Ich habe einen Matlab-Code Ich wollte es in vhdl oder verilog konvertieren. Jeder hat eine Idee dazu. Pls helfen mir mit diesem. Kiran Verilog sir Ich bin ein Projekt auf WCDMA für meine B tech. i bin ein B-Tech-Abschluss Jahr std Student. So mein Zweifel ist, habe ich einen Convolusion-Encoder mit drei-Bit-Register. Was Art von Decoder kann ich für die entsprechende encoder. plz verwenden, geben Sie mir eine Lösung und ich muss die Faltung Encoder zu FPGA. i nicht wissen, wie plz mir geben Eine Lösung smubarak. e Am 23. Februar, 16.38 Uhr, lovetoesm ltloveto. Gmailgt schrieb: gt sir. Gt Ich mache ein Projekt auf WCDMA für meine B tech. i bin ein B-Tech-Abschluss gt Jahr std Student. Gt so mein zweifel ist, habe ich eine convolusion encoder mit drei bit gt register. what typ decoder kann ich für die entsprechende gt encoder. plz verwenden gib mir eine lösung gt und ich muss den faltungscodierer auf FPGA. i herunterladen, weiß nicht Gt, wie plz mir eine Lösung gt hi mubarak, können Sie einen viterbi Decoder für Ihre Faltung encoder. you können in verilog implementieren. Können Sie die Faltung Encoder zu FPGA, für die können Sie einige Benutzer-IO aus FPGA für die curresponding Port in Ihrem Programm. like zurücksetzen, laden, Verschiebung wie this. if Sie wissen nicht, die Tool-Flow dann informieren mich that. i wird Helfen Sie für jedes tool. then downloaden das Programm zu FPGA. if, das Sie irgendwelche Zweifel ich irgendeine andere Sache in vlsi plz informieren uns mentorssignatrix. in signatrix. in. Auto Vervollständigung für Verilog und System Verilog Hallo, Ich möchte eine automatische Vervollständigung für eine Verilog und SV IDE, die die folgenden Funktionen enthält schreiben: 1. Word-Vervollständigung. 2. Mitgliedsabschluss. 3. Parametervervollständigung Ich suche das Material, das ich finden kann, das mir helfen kann, Ideen zu bekommen, wie man eine solche Funktion implementiert. Haben Sie irgendwelche Suggestionslinks können Sie mich für Open-Source-Artikel zu diesem Thema Vielen Dank, Orly Hallo, Ich habe eine emacs-Modus-Datei für SV, dass diese Dinge tun würde ganz gut. Größtes Problem I39ve ist die Einbuchtung. Ehrlich I39m nicht ein LISP-Experte, eher gehackt einige alte VERAJEDAPSL-Modus für SV arbeiten. Ich kann es an Sie senden (oder hochladen, um noveldv, aber das würde einige Tage dauern), wenn senden Sie mir eine E-Mail an Google Mail ajeetha Disclaimer: Es ist eine nicht gut geschriebene Modus-Datei, mindestens ein Benutzer didn39t wie es so viel, Erwartungen bitte. Ich mag es einfach für das Quotwort completionquot und sonst nichts. Ich habe nicht genug Zeit in der Aktualisierung beibehalten es als I39m beschäftigt mit anderen Sachen. BTW - welche IDE sind Sie Zielsetzung Grüße Ajeetha noveldv. Wie man Verilog Netzliste ohne eine Verilog Lizenz machen Ich arbeite an einem Mischsignalchip und möchte eine Verilog Netzliste von einigen meiner Blöcke für den digitalen Kerl auf dem Projekt schaffen. Ich bin mit DFII und ich habe keine NC-Verilog-Lizenz, brauche ich auf nur, um eine Netzliste Ich habe versucht, Tools-gtSimulation-gtNCVerilog aus dem Schaltplan und Datei-gtexport von icfb ohne Glück. Wenn ich can39t machen eine Netzliste direkt, hat jemand einen spectreToVerilog ((oder CDLToVerilog) Konverter können sie mich auf eine schnelle Suche dieser Website nicht aufdrehen. Dank im Voraus DAvid Reynolds Am 21 Jun 2006 05:53:59 -0700, quotDReynoldsquot ltspurwinktechgmailgt schrieb: gt Ich arbeite an einem gemischten Signal-Chip und ich möchte eine gtVerilog Netzliste von einigen meiner Blöcke für den digitalen Kerl auf dem gtproject zu erstellen. Ich bin mit DFII und ich habe keine NC Verilog Lizenz , Habe ich gtneed auf nur, um eine Netzliste Ich habe versucht, Tools-gtSimulation-gtNCVerilog gtfrom der Schaltplan und Datei-gtexport von icfb ohne Glück. gt gtIf ich can39t eine Netzliste direkt, hat jemand ein spectreToVerilog gt ((oder CDLToVerilog) Konverter können sie mich auf eine schnelle Suche von gtthis Website nicht aufdrehen alles gt gtthanks im Voraus gt gtDAvid Reynolds Sie benötigen keine Verilog-Lizenz oder NC Verilog Lizenz Netzliste Sie benötigen jedoch eine quot21400quot Lizenz (genannt Virtuoso R) Schematischer Editor Verilog (R) Interfacequot). Mit anderen Worten, Sie don39t brauchen einen Simulator lic. Verilog-a Gibt es irgendwelche verilog-eine spezifische newsgroups Danke Keith. Verilog-A Hi what39s verilog-A ist es etwas im Zusammenhang mit analogen gibt es irgendwelche Werkzeuge aus Kadenz Unterstützung, die Dank Verilog-A ist eine analoge Verhaltensmodellierung Sprache. Siehe accellera und verilog-ams Sie können auch das neue Buch quotieren, das von Ken Kundert und Olaf Zinke (Kluwer Academic Publishers) zum Verlinog-AMSquot-Leitfaden führt. Es wird in Cadence in Gespenst (der erste Simulator unterstützt Verilog-A), und auch in der AMS-Designer-Simulator unterstützt. Grüße, Andrew. Auf Fri, 6 Aug 2004 10:33:51 -0700, quotCarsonquot ltcarsoni. Design von Taschenrechner in verilog Hallo alle, ich muss einen Taschenrechner in verilog für 4 Operationen entwerfen:,,,. Es ist 8-Bit-Rechner. Ich habe alle vier Bedienmodule entworfen und sie funktionieren gut. Der Rechner arbeitet auch mit zwei Operanden. Nun, was ich bin nicht in der Lage, herauszufinden, ist, wenn ich auf dem Tastenfeld drücken (dies wird ein FPGA mit Tastatur verbunden sein) sagen 12. 3. Ich meine, ich erwarte eine Antwort 3 das erste Mal sage ich und dann die gleiche Sache Muss als einer der Operanden in der nächsten Berechnung betrachtet werden, so dass die endgültige Antwort 6 ist. Kann jemand mir eine Idee geben, wie dies in verilog herauszufinden. Ich bin ziemlich verwirrt. Grüße. Sunita sunitajaingmail (Sunita Jain) schrieb in Nachrichtennachrichten: lt9bfc40d7.0411070448.603c7047posting. googlegt. Gt Hallo alle, gt Ich brauche, um einen Taschenrechner in verilog für 4 Operationen:, -,,. Gt Es ist 8-Bit-Rechner. Gt Ich habe alle vier Bedienmodule entworfen und sie funktionieren gt fein. Der Rechner arbeitet auch mit zwei Operanden. Nun, was ich bin gt nicht in der Lage, herauszufinden, ist, wenn ich auf dem Tastenfeld drücken (dies wird gt ein FPGA mit Tastatur verbunden sein) sagen 12. 3. gt Ich meine, ich erwarte eine Antwort 3 das erste Mal sage ich und dann Das gleiche gt Sache muss als einer der Operanden in der nächsten gt Berechnung betrachtet werden, so dass die endgültige Antwort 6 ist. Kann jemand mir eine gt Idee geben, wie man dieses heraus in verilog herausfindet. Ich bin ziemlich verwirrt. Bestimmung von fileType (Verilog, VHDL oder System Verilog) Ich habe eine Liste von HDL-Dateien. Wie finde ich heraus, die Art der einzelnen Dateien (Verilog, System Verilog oder VHDL). Wäre toll wenn ein einfaches Utility in CC schon da ist. Ich brauche nicht zu wissen, die Aromen von verilog wie 95, 2000 etc, aber wouldn39t die zusätzlichen Informationen, wenn dort. Am 2007-12-11, verylog ltsachin. goyal. newgmailgt wrote: gt Ich habe eine Liste von HDL-Dateien. Gt Wie finde ich heraus, die Art der einzelnen Dateien (Verilog, System Verilog oder gt VHDL). Gt Wäre toll wenn ein einfaches Utility in CC schon da ist. Gt Ich brauche nicht zu wissen, die Aromen von verilog wie 95, 2000 etc, aber gt wouldn39t Denken Sie die zusätzlichen Informationen, wenn dort. Persönlich würde ich nur auf die Datei-Erweiterung, wenn jemand doesn39t Namen Dateien ordnungsgemäß er oder sie sollte erwarten, dass Probleme erwarten :) Eine andere Möglichkeit: Nur versuchen, es mit Ihrem aktuellen RTL-Simulator zu kompilieren und sehen, ob es sauber kompiliert mit Verilog, SystemVerilog oder VHDL-Modus. Aber wenn aus irgendeinem Grund ist dies unpraktisch wird es ziemlich schwer zu unterscheiden zwischen SystemVerilog und Verilog Dateien, da eine Datei sowohl legal Verilog und SystemVerilog zur gleichen Zeit sein kann. Tatsächlich ist eine leere Akte eine gesetzliche Verilog und SystemVerilog Akte, wenn ich die BNF richtig lese. Distuingishing between a VHDL file and a non VHDL file is probably easier if that is enough for you. I haven39t looked into it too much, but I believe it is just a matter of stripping all VHDL type comments and look for the fir. problem with verilog-in in when using arithmetic shift in verilog vode. Hello everyone, when I using verilog-in in ic5141,it appears a sytanx error quotgtgtgt errorquot. my verilog code have arithmetic shift gtgtgt adn ltltlt, which is the new characteristic of verilog-2001. and I do verilog-in operation in behavior RTL, my question is :how to fix this errorand still:does ic5141 verilog-in function support verilog2001 verison39s arithemetic shift operationor quotgtgtgtquot and quotltltltquot. Danke. On 8 13. 1 09. ponderboy ltcqu. yahoo. cngt wrote: could anybody help me please. gt He. system verilog ques. Is there a separate newsgroup for system verilog rand bit 7:0 byte0 rand bit 7:0 byte1 The following constraint works: constraint xyz (byte0 gt39h61 ampamp byte0 lt39h7a) - gt byte1 inside But I want to modify it to something to this effect: constraint xyz else byte1 inside Is it possible to have a parameterized verilog module name in verilog or systemverilog Hi, I am trying create verilog module that can support parameterized instance n ame. I understand that the signal width and other such things can be parame terized. But can we also parameterize the module instance name In following code, I am curious if there is any way SomeDynamicInstanceName can be parameterized also I can try to use system verilog if that can hel p here20 My purpose is to be able to reuse the verilog gmon module (generic verilog module) for various types of signals. But for a reason, I need to change t he SomeDynamicInstanceName. I have control o. Verilog simulation of placedrouted netlist using verilog XL Hi, I want to simulate the verilog netlist of placed and routed design obtained from Cadence SoC Encounter. I have the sdf file from SoC Encounter. I am using Verilog XL. I used the sdfannotate command in my testbench as follows initial begin sdfannotate(quot. design. sdfquot, instancename. ) end Is this the right way to do it Thanks in advance. Regards, Ajay. For help-verilog design of a calculator Hi, I am a new student majoring in LSI. Now I have to design a calculator using verilog. It is the homework of a course. I am looking for some reference code about it as I have very little experience to program in Verilog. I would be very appreciated with your help. BTW, the functions I have to realize include Add, Subtract, Multiply, Division, N. C, Shift, MC, MS, MR, M, M-. Vielen Dank. davidbarby ltdanyangqusuou. waseda. jpgt wrote in message news:6eb3db6ca7f5485d9d696440776471c6localhost. talkaboutprogramming. gt Hi, gt gt I am a new student majoring in LSI. Now I have to design a calculator gt using verilog. It is the homework of a course. gt I am looking for some reference code about it as I have very little gt experience to program in Verilog. I would be very appreciated with your gt help. gt BTW, the functions I have to realize include Add, Subtract, Multiply, gt Division, N. C, Shift, MC, MS, MR, M, M-. gt gt Thank you. gt why don39t you tell us how you think it should be approached I39m not being awkward, in fact I wouldn39t quite know where to start without giving it some thought - perhaps if you did just that and then published what you think, it may kick start an interesting discussion :) thanks a lot for your reply, but I think I don39t catch your meaning. Frankly, I lack experience about it and looking for some helps. Could you please advise me something I need some. Converting Verilog Test Env. to System Verilog amp Open Vera Hi All, I am working on a Task of Converting Old BFMs and Test Environment created in Verilog to System verilog and Open Vera. That includes converting test benches and BFMs Generating synopsys and user defined classes and making a high level wrapper of system verilog to be used with Vera. Please help me with guideline, documents and tips related to this task Regards Thanks in Advance Kedar Hi, Kedar - You may or may not already know this, but for other readers monitoring this thread let me state what is already common knowledge: SystemVerilog is fully backward compatible with Verilog-2001 and it typically does not make sense to spend time converting old Verilog-2001 BFM testbenches to the new Synopsys class-based VMM-style of testbench. For new testbenches, an approach like this does indeed make sense. You first need to understand SystemVerilog syntax, and then you can pursue this course of action by reading Janick Bergeron, et al39s book, Verification Methodology Manual for SystemVerilog, published by Springer (new book). You could hire some SystemVerilog consultants to help you do the work (I don39t do this myself). You may also want to consider SystemVerilog for Verification training to get started with this (I do do this :-) Regards - Cliff Cummings Verilog amp SystemVerilog Guru sunburst-design gt You could hire some SystemVerilog consultants to help you do the work gt (I don39t do this m. Where I can get or buy BSIM3v3 model file in Verilog-a or Verilog-ams I39m trying to using spectre verilog-a to construct my own degradation model. bigbag wrote: gt I39m trying to using spectre verilog-a to construct my own degradation model. you could try and get this from tiburon tiburon-da or contact berkeley directly. Where I can get or buy BSIM3v3 model file in Verilog-a or Verilog-ams 2 I39m trying to implement my own degradation model into cadence spectre using verilog. Who can help me Thanks a lot. You can find a MOS level 1 veriloga model in your Cadence installation tools. lnx86dfIIsamplesartistahdlLibmoslevel1verilogaverilog. va --- Erik zcuimail. ucf. edu (bigbag) wrote in message news:ltf8f9930c.0401151449.27f4e7c4posting. googlegt. gt I39m trying to implement my own degradation model into cadence spectre gt using verilog. Who can help me Thanks a lot. See the following also: tools. lnx86dfIIsamplesartistahdlLibmostftverilogave. I-Q FIR filter using verilogverilog-a gives no output on one channel I built up an I-Q demodulator using verilog-a blocks. At its output, both the I and Q paths go through an identical FIR filter. The output of the I path looks like what I expect, but the Q path is basically zero - it has 250 dB lower gain than the I path. I39ve tried a bunch of things: I re-created the filter symbol and verilog code from scratch in the library manager I deleted all of the compiled AHDL code belonging to the filters and forced it to re-compile I created a second version of the filter from scratch. I get the same result no matter what. If I hook the I-channel up to the. doing 39slow39 calculations in verilog If you use a continuous or non-blocking assignment in Verilog and the right hand expression is something that in a real device takes time to become valid after the inputs become valid, how do you ensure the output IS valid when you want to use it For example: input 1000:0 megaparity assign foo megaparity always (posedge clk) megaparity valid on this clk savedparity lt foo when is this valid What if the cascaded xor chain is so slow it39s more than one clk period More than 20 If you assume it39s slower than it is (waiting some fixed number of clocks) do. configuration for a mixed mode VHDL-verilog lang Hi all My problem is I39d like to choose a VHDL file instantiated inside verilog via VHDL configuration To summerize: I have a hierarcy: quottop:vhdl - verilog - Verlog - vhdl: bottomquot How to write a vhdl configuration to select the file for the bottom instantiation Rakesh YC try. calculate average How to get the average of more fields. What sign to place between the fields For instance: average(age1ampage2) The sign amp is not correct. Which sign is. Laura. quotLaura Eekelsquot ltlaura. eekelsxx. yygt wrote in message news:3fce13ec0214e4fe514cnews. xs4all. nl. gt How to get the average of more fields. gt What sign to place between the fields gt For instance: average(age1ampage2) gt The sign amp is not correct. Which sign is. gt gt Laura. Avg(Field1 Field2 Field2) -- Bradley Software Developer hrsystems. au A Christian Response pastornet. net. auresponse Thanks for the answer, but I tried that already. and it doesn39t work. Avg(field1field2) field17field28 gives the result 78 and not the average. Do you have any other suggestions Laura quotBradleyquot ltbradleyREMOVETHIScomcen. augt schreef in bericht news:jtszb.38208aT.14986news-server. bigpond. net. au. gt quotLaura Eekelsquot ltlaura. eekelsxx. yygt wrote in message gt news:3fce13ec0214e4fe514cnews. xs4all. nl. gt gt How to get the average of more fields. gt gt What sign to place between the fields gt gt For instance: average(age1ampage2) gt gt The sign amp is not correct. Which sign is. gt gt gt gt Laura. gt gt Avg(Field1 Field2 Field2) gt gt -- gt Bradley gt Software Developer hrs. verilog style Hi All, Can anyone give me some adive about following code. reg A reg B wire en assign C en ampamp (A B) (1) logical AND assign D en amp (A B) (2) bitwise AND which one is better. (1) or (2). Thanks for any suggestion Essen wrote: gt Hi All, gt gt Can anyone give me some adive about following code. gt gt reg A gt reg B gt wire en gt gt assign C en ampamp (A B) (1) logical AND gt assign D en amp (A B) (2) bitwise AND gt gt which one is better. (1) or (2). gt gt Thanks for any suggestion Hi In this case both are correct. Because A, B and en are considered as boolean that is. single bit. If you go with multiple bit operands, then you will find a difference. Thanks and regards karthikeyan TooMuch Semiconductor Solutions, Bangalore. strings in verilog Hi I was having an issue with strings in verilog. I have a JTAG state machine and a testbench running it. I am moving through different JTAG states which are referenced by 4bit binary as TlR 439b0000 RTI 439b0001. In my rtl I had something like, reg4:0 presstate define TLR 439b0000 define RTI 439b0001. reg4:0 presstate reg4:0 nextstate always (posedge tclk). presstate lt nextstate When I simulate it, and see the waves on the waveform window its gets really difficult to decode the states by looking at numbers, so I was thinking whether I can use characters to represent different JTAG states. I had something like this, reg 38:0 presstate But I found ascii values of different states represented on the waveform. Do you guys know the reason. So how can I represent strings in stead of numbers I know I can use display but I want my strings to appear inside my waveforms. Thanks Rik rik wrote: gt gt But I found ascii values of different states represented on the gt waveform. Do you guys know the reason. Because that is how strings are represented in Verilog (and programming languages in general). gt I know I can use display but I want my strings to appear inside my gt waveforms. This is not an issue with Verilog. This is an issue with your waveform viewer. If it has the capability to display the. Yeah, I already have the filter coefficients. Its easy to generate if u have the stop band and pass band specifications with you. One more question do you have any idea how can I implement FIR filters in cascade. Like suppose i have the input x(n) and i want an output y(n) so my overall transfer function would be a block of 3 small FIR filter blocks i. e. (H(z)Y(z)X(z)A(z) ). My real problem would be how to merge all these three filters together in cascade to get the output y(n). Give me just a rough idea or pseudo code or if you have the code kindly paste it. ndash D X Feb 21 13 at 9:11 Your options are basically (1) design a single filter for the overall transfer function, or (2) call the convolution function repeatedly, once for each filter in the cascade. As far as I can recall, (1) turns out to to be a convolution too (for each pair of filters combined), but I39d have to go back to my textbooks to be sure. ndash Steve314 Feb 21 13 at 9:17 To combine multiple filters: Start with an unit impulse (a signal with a 1 in the first position and 0 everywhere else). Apply the first filter. Apply the second filter. Continue until all filters are applied. The result shows how the combined filters convolve the unit impulse (provided the array is long enough that no data was lost), so the values in it are the coefficients for one filter that is the composition of the other filters. Here is sample code:


No comments:

Post a Comment